Welcome![Sign In][Sign Up]
Location:
Search - vhdl encoder

Search list

[Embeded-SCM Develop卷积码、CRC

Description: 卷积码的C源程序,包括编码器和译码器。 还有一个是循环荣誉校验的vhdl]源码。-convolution of C source code, including the encoder and decoder. There is a cycle of the calibration honor VHDL] source.
Platform: | Size: 6873 | Author: 潘华林 | Hits:

[EditBoxenc

Description: HDB3编码器 使用VHDL编制 对于基带传输很有用的程序-HDB3 encoder using VHDL preparation for baseband transmission useful procedure
Platform: | Size: 1135 | Author: ls | Hits:

[Com Portbianmaqishixian

Description: 这是一个编码器的实现的程序,用VHDL语言实现-This is an encoder on the realization of the program, VHDL
Platform: | Size: 66735 | Author: 李芹 | Hits:

[Other resourceVHDL_Development_Board_Sources

Description: 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development of the plate diagram, You hope to be a good help! which states : eight priority encoder, multipliers, multi-path selectors, BCD binary switch, adder, subtraction device, the simple state machine, four comparators, seven of the digital control, i2c bus, lcd LCD allocated code switches, serial port, the buzzer sounded, matrix keyboards, Bomadeng, traffic lights, Digital Clock.
Platform: | Size: 4642650 | Author: Jawen | Hits:

[Other resourceVerilog_Development_Board_Sources

Description: 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code quite welcome, Now she will also be Verilog source contribution to everyone : eight priority encoder, multipliers, Multi-channel selector, binary to BCD, adder, subtraction device, the simple state machine, four comparators, 7 of the digital control, i2c bus, lcd LCD allocated code switches, serial port, the buzzer sounded, matrix keyboards, Bomadeng. Traffic lights, digital clock
Platform: | Size: 3152400 | Author: Jawen | Hits:

[Other resourceAEScoremodules

Description: AES decoder aes_dec.vhdl AES encoder aes_enc.vhdl Package used by rest of design aes_pkg.vhdl Key Expansion component for AES encoder and decoder key_expansion.vhdl -AES AES encoder decoder aes_dec.vhdl aes_ enc.vhdl Package used by rest of design aes_pkg . vhdl Key Expansion component for a AES encoder nd decoder key_expansion.vhdl
Platform: | Size: 10174 | Author: 孟轲敏 | Hits:

[Other resourceVHDLexample49

Description: VHDL的49个例子,例子丰富,有计数器、状态机、寄存器、汉明纠错码编码器、游戏程序-VHDL 49 examples, examples of rich, counters, state machines, register, Hamming ECC encoder, Games, etc.
Platform: | Size: 44507 | Author: 刘一 | Hits:

[Other resourceRS(32to28)encoderanddecoder

Description: RS(32,28) encoder and decoder VHDL-RS (32,28) encoder and decoder VHDL
Platform: | Size: 77351 | Author: 王文 | Hits:

[Other resourcedecdor_38

Description: 用VHDL编的编码器,具有多种功能,希望呢温暖感跟大家共享~!-VHDL addendum to the encoder, with a variety of functions and warm sense of hope do share with you ~!
Platform: | Size: 1310 | Author: leochen | Hits:

[Other resourcesdgshjd

Description: 数字系统设计这是有关的相关源代码,有简易CPU 除法器、计数器等 ...[fpdiv_vhdl.rar] - 四位除法器的vhdl源程序 [vhdl范例.rar] - 最高优先级编码器8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使 BR> ... -Digital System Design This is the underlying source code, a simple CPU divider. Counter etc. ... [fpdiv_vhdl.rar] - 4 division of vhdl source [vh dl example. rar] - highest priority encoder compared to eight for phase three of the vote (the three different description ) Adder Description eight bus transceiver : 74245 (Note 2) address decoder (for m68008) Multiple choice (so that BR
Platform: | Size: 838 | Author: 张瑞 | Hits:

[Other resource8b_10b

Description: vhdl编写,8b—10b 编解码器设计 Encoder: 8b/10b Encoder (file: 8b10b_enc.vhd) Synchronous clocked inputs (latched on each clock rising edge) 8-bit parallel unencoded data input KI input selects data or control encoding Asynchronous active high reset initializes all logic Encoded data output 10-bit parallel encoded output valid 1 clock later Decoder: 8b/10b Decoder (file: 8b10b_dec.vhd) Synchronous clocked inputs (latched on each clock rising edge) 10-bit parallel encoded data input Asynchronous active high reset initializes all logic Decoded data, disparity and KO outputs 8-bit parallel unencoded output valid 1 clock later
Platform: | Size: 73116 | Author: 聂样 | Hits:

[Othermp3_decoder

Description: mp3的VHDL实现,包括HUFFMAN编码器,量化器,子带滤波器.可用来开发:FPGA,ASIC.-mp3 of VHDL, including HUFFMAN encoder, quantizer, subband filters. Can be used to develop : FPGA, ASIC.
Platform: | Size: 37673 | Author: 六六 | Hits:

[Com Portbianmaqishixian

Description: 这是一个编码器的实现的程序,用VHDL语言实现-This is an encoder on the realization of the program, VHDL
Platform: | Size: 66560 | Author: 李芹 | Hits:

[Other3GPPjiaozhi

Description: 3Gpp 25.212 交织器 交织深度1024进行行内行间交织-3Gpp 25.212 intertwined depth interleaver 1,024 firms conducted between experts intertwined
Platform: | Size: 2048 | Author: 李春晖 | Hits:

[Compress-Decompress algrithms601792346200732319490634862

Description: jpeg压缩中的DCT蝶型算法verilog代码-jpeg DCT compression algorithm verilog code BUTTERFLY
Platform: | Size: 5120 | Author: wuguanying | Hits:

[VHDL-FPGA-Verilogdecdor_38

Description: 用VHDL编的编码器,具有多种功能,希望呢温暖感跟大家共享~!-VHDL addendum to the encoder, with a variety of functions and warm sense of hope do share with you ~!
Platform: | Size: 1024 | Author: leochen | Hits:

[VHDL-FPGA-VerilogDCT

Description: 用verilog语言实现DCT编解码 附有DCT的说明-Using Verilog language realize DCT codec with a description of DCT
Platform: | Size: 65536 | Author: 周韧研 | Hits:

[VHDL-FPGA-Verilogfifo8_8

Description:
Platform: | Size: 1024 | Author: 李松 | Hits:

[VHDL-FPGA-VerilogDualpriorityencoder

Description: 用VHDL编译的源代码,两位优先级编码器,输入一个十进制数,直接显示头两个‘1’所在的位,解压后直接用Quartus打开project即可-Compiled with VHDL source code, the two priority encoder, enter a decimal number, direct show
Platform: | Size: 359424 | Author: xie | Hits:

[VHDL-FPGA-Verilogdecoder_2_10

Description: 采用VHDL语言编写的二-十进制编码器,在MAX+plus软件上实现,其中包括演示截图。-Using VHDL languages II- Decimal encoder, in MAX+ Plus software to achieve, including the demo screenshot.
Platform: | Size: 1793024 | Author: 画眉 | Hits:
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 »

CodeBus www.codebus.net